cliffwade, to tv
@cliffwade@allthingstech.social avatar

Has anyone here watched The Santa Clauses that is currently on Disney+, and if so, how is the show?

I've always been a huge Tim Allen and Kal Penn fan, so I'm very interested in this but just curious if anyone had seen it and could give some insight.

minioctt, to InitialD Italian

Questo per tipo la prima volta, dopo che già conoscevo cose tipo l’ che però non ho mai trovato interessanti abbastanza, scopro che c’è chi fa calendari dell’ in serie , in cui ogni giorno presenta un diverso tra quelli di una particolare (da quello che vedo, la collezione è praticamente la libreria di una particolare piattaforma, includendo sia d’epoca che /). 🎄

ad alcuni tra quelli che ho avuto modo di vedere e mi son piaciuti fino ad ora (ma ne avremo per settimane ancora, fino al …):

Vi consiglio di guardarli se vi piace questo genere di robe, è un qualcosa di bello da inserire nella propria routine almeno per un mese all’anno. A proposito, se ce ne sono altri che a voi piacciono consigliateli, se piaceranno anche a me non avrete fatto male. E se invece non mi piaceranno… 😈 (Tralaltro, qualcosa per le home c’è, oltre che per i ? Non ho trovato…)

Purtroppo quest’anno arrivo tardi, ma se per qualche strana circostanza verso l’anno prossimo mi trovo a creare di nuovo video con una cadenza regolare e un impegno un minimo decente, perché no, potrei fare pure il mio 👾

https://octospacc.altervista.org/2023/12/05/149/

TheMetalDog, to metallica
@TheMetalDog@mastodon.social avatar
BenHigbie, to art
@BenHigbie@mastodon.social avatar
SDF, to community
@SDF@mastodon.sdf.org avatar

Creators that complete their first year of shows on https://aNONradio.net get an enamel metal lapel pin! Thank you to all the creative talented folk that make our community radio service.

Interested? You can do it for as little as 30 minutes a week in both live and podcast modes.

br00t4c, to random
@br00t4c@mastodon.social avatar

▶ British Politics Is A Total $%&#show

#british

https://www.youtube.com/watch?v=g_RmKG0yuE0

TheMetalDog, to random
@TheMetalDog@mastodon.social avatar
paul_denton, to Anime French
@paul_denton@mastodon.social avatar

Incroyable cette nouvelle série animée: "Captain Laserhawk: A Blood Dragon Remix". C'est une débauche de couleurs, de références à Ubisoft, aux années 90, à la société de surveillance actuelle... Avec de vrais protagonistes gays et queer. Magnifique, à voir sur Netflix!

#Anime #CaptainLaser #Netflix #Show #Ubisoft #videogames

jbo, to random German
@jbo@jbo.social avatar

About last night im Alten Schlachthof Lingen - Ihr habt ordentlich gefeiert! 🤩😁

Pics.: @joergeverding (Insta)
#joergeverdingfotografie #senger #singer #lichter #show #events #musicphotography #musicphotos #instmusic #picoftheday #musiclife #band #jbo

image/png
image/png
image/png

amadeus, to transit
@amadeus@mstdn.social avatar
blag, to random
@blag@typo.social avatar

Opening tomorrow in Amadora, Lisbon: This is Not America: Letreiros Comerciais — Século XX (commercial signs of the twentieth century).

This is an exhibition of some of the incredible Letreiro Galeria collection. If you're not familiar with their work, I recommend a look at their instagram (https://www.instagram.com/letreirogaleria) with Portuguese signs that they've salvaged.

This exhibition now listed at https://bl.ag/eventlistings.

#neon #signs #amadora #lisbon #exhibition #show #letreiros

amadeus, to Bikepacking
@amadeus@mstdn.social avatar
Imperor, to wholesome
@Imperor@mastodon.social avatar

If "Our Flag Means Death" isn't the most #wholesome and all around well cast and written #series on #pirates out there, I'll be damned.

It's not super historically accurate - but it isn't super far off either, given the large gaps we have on certain details, especially on Blackbeards life.

Fully recommend you go check it out. Season 2 is currently ongoing.

https://www.imdb.com/title/tt11000902/?ref_=nv_sr_srsg_0_tt_1_nm_0_q_our%2520flag%2520mea

#tv #show #history

TipoRoo, to Anime

I watched Season 1 of this anime with a friend & I can't help but shoot this out, this anime is severely underrated and overlooked, definitely check it out it has such good writing, animation, & overall style.

Big O, it's showtime!

'sShowtime

boilingsteam, to gaming
@boilingsteam@mastodon.cloud avatar

Tokyo Games Show 2023: Bandai Namco, Trying Harder than Most https://boilingsteam.com/tgs2023-namco-bandai

boilingsteam, to gaming
@boilingsteam@mastodon.cloud avatar
TheMetalDog, to security
@TheMetalDog@mastodon.social avatar
fringemagnet, to tv

Borrowed from Lynda Carter's tumblr (https://www.tumblr.com/reallyndacarter/729090100002258944/sadly-relevant?source=share) because the message is evergreen.

  • Original instance is from the Wonder Woman TV series episode 'The Feminum Mystique: Part 2' (1976).

#WonderWoman #LyndaCarter #TV #show #series #quotes #quote

viviflowers, to mastodon Spanish

Hola! Antes de seguir me presento:🤗
.

Soy Viviana Flórez, 🎤 y y especializada en y perfeccionamiento de la actualmente estoy sacando adelante mi de , contar cuentos me encanta.
En mis ratos libres disfruto de mi familia, de posar para 📸como y, últimamente de escribir.
Allá vamos

dejo, (edited ) to science Serbian

Hi, I'm not quite sure if this vhdl code and testbench is correct for the given task. Can you take a look?

Design a one-hour kitchen timer. The device should have buttons/switches to start and stop the timer, as well as to set the desired time interval for the alarm. Realize the task using the software package Quartus or in GHDL, confirm the correctness of the project task by simulation.

This is VHDL code:

use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity Kitchen_Timer is
  port (
    clk   : in std_logic;    -- Clock input
    reset : in std_logic;    -- Reset input
    start : in std_logic;    -- Start button input
    stop  : in std_logic;    -- Stop button input
    alarm : out std_logic    -- Alarm output
  );
end entity Kitchen_Timer;

-- Declare the architecture for the kitchen timer
architecture Behavioral of Kitchen_Timer is
  signal count     : integer range 0 to 3600 := 0;   -- Counter for timer
  signal alarming  : std_logic := '0';               -- Signal to indicate alarming interval
  signal alarm_en  : std_logic := '0';               -- Signal to enable alarming interval
  signal alarm_cnt : integer range 0 to 600 := 0;    -- Counter for alarming interval
begin
  -- Process to control the kitchen timer and alarming interval
  process (clk, reset)
  begin
    if (reset = '1') then
      count     <= 0;
      alarming  <= '0';
      alarm_en  <= '0';
      alarm_cnt <= 0;
    elsif (rising_edge(clk)) then
      if (stop = '1') then
        count     <= 0;
        alarming  <= '0';
        alarm_en  <= '0';
        alarm_cnt <= 0;
      elsif (start = '1' and count < 3600) then
        count <= count + 1;
        if (count = 3600) then
          count     <= 0;
          alarming  <= '0';
          alarm_en  <= '0';
          alarm_cnt <= 0;
        elsif (count > 0) then
          alarm_en <= '1';
        end if;
      end if;

      if (alarm_en = '1') then
        if (alarm_cnt < 600) then
          alarm_cnt <= alarm_cnt + 1;
        else
          alarm_cnt <= 0;
          alarming  <= '1';
        end if;
      end if;
    end if;
  end process;

  -- Assign the alarm output
  alarm <= alarming;
end architecture Behavioral; ```


This is Testbench:

```library ieee;
use ieee.std_logic_1164.all;

entity tb_Kitchen_Timer is
end tb_Kitchen_Timer;

architecture tb of tb_Kitchen_Timer is

    component Kitchen_Timer
        port (clk   : in std_logic;
              reset : in std_logic;
              start : in std_logic;
              stop  : in std_logic;
              alarm : out std_logic);
    end component;

    signal clk   : std_logic;
    signal reset : std_logic;
    signal start : std_logic;
    signal stop  : std_logic;
    signal alarm : std_logic;

    constant TbPeriod : time := 1000 ns; -- EDIT Put right period here
    signal TbClock : std_logic := '0';
    signal TbSimEnded : std_logic := '0';

begin

    dut : Kitchen_Timer
    port map (clk   => clk,
              reset => reset,
              start => start,
              stop  => stop,
              alarm => alarm);

    -- Clock generation
    TbClock <= not TbClock after TbPeriod/2 when TbSimEnded /= '1' else '0';

    -- EDIT: Check that clk is really your main clock signal
    clk <= TbClock;

    stimuli : process
    begin
        -- EDIT Adapt initialization as needed
        start <= '0';
        stop <= '0';

        -- Reset generation
        -- EDIT: Check that reset is really your reset signal
        reset <= '1';
        wait for 100 ns;
        reset <= '0';
        wait for 100 ns;

        -- EDIT Add stimuli here
        wait for 100 * TbPeriod;

        -- Stop the clock and hence terminate the simulation
        TbSimEnded <= '1';
        wait;
    end process;

end tb;

-- Configuration block below is required by some simulators. Usually no need to edit.

configuration cfg_tb_Kitchen_Timer of tb_Kitchen_Timer is
    for tb
    end for;
end cfg_tb_Kitchen_Timer;```
T4V0,
T4V0 avatar

@dejo Whoops, I made a mistake, you don't need to include the entity when simulating. This line bellow should be the correct one:

add wave -label "count" -radix unsigned /dut/count

This is my .do file (when using Modelsim or Questa, change to the directory with all the .vhd files and the .do file and execute the command do tb.do):

tb.do

#Creates project's library
vlib work

#Compiles project with VHDL93 standard: all files used in the testbench. They should be compiled in order of dependency.
vcom -93 Kitchen_Timer.vhd testbench.vhd

#Simulates (work is the directory, tb_Kitchen_Timer is the entity's name).
#The argument -voptargs="+acc" is necessary to disable signal optimization in Questa.
vsim -voptargs="+acc" -t ns work.tb_Kitchen_Timer

#Show waveforms.
view wave

#Add specific signals.
# -radix: binary, hex, dec, unsigned.
# -label: wave's name.
add wave -label "clk" -radix binary /clk
add wave -label "reset" -radix binary /reset
add wave -label "start" -radix binary /start
add wave -label "stop" -radix binary /stop
add wave -label "adjust_interval_up" -radix binary /adjust_interval_up
add wave -label "adjust_interval_down" -radix binary /adjust_interval_down
add wave -label "alarm" -radix binary /alarm
add wave -label "count" -radix unsigned /dut/count
add wave -label "TbClock" -radix binary /TbClock
add wave -label "TbSimEnded" -radix binary /TbSimEnded

#Simulate for 1500 ns.
run 1500ns

# Zoom to fit entire window.
wave zoomfull
write wave wave.ps

I've also included the simulation result.

screwtape, to Lisp
@screwtape@mastodon.sdf.org avatar

y Show at 000UTC Wednesday in about 23 hours on by @SDF public access vote in the mug pole
https://anonradio.net:8443/anonradio

  • @kentpitman haiku

  • @rms ' article tooting about not giving in to climate doomers

  • Prewriting and prephloging more

  • @xiled 's old computer challenge review

  • Deep Web3 IPFS music scene by @technotramp

  • @jns ' packet filter against scrapers etc

  • I make a conventional public gitweb git on : http://git.community.i2p/screwtape

technotramp,
@technotramp@mastodon.social avatar

@screwtape @SDF @kentpitman @rms @xiled @jns @pkw @ksaj
@glitzersachen @praetor @rwxrwxrwx @Jose_A_Alonso @prahou @northernlights @pizzapal

#Thank you for the #presence of #Technotramp #music in your #great #show! I just thought the #midnight show was a #bit #dangerous when combined with #IPFS. The #nodes are usually cleaned up at midnight. #Some #data has to be pulled from further #away and it takes a bit #longer. 🖐️🙂

👇

#bjobsdyYUIEbidbnioENG

insiderua, to Ukraine Ukrainian
@insiderua@social.kyiv.dcomm.net.ua avatar

👉 Якщо ви чули про....

✅ David Letterman
✅ Stephen Colbert
✅ John Oliver (його я багато дивився)

🇺🇦 То ....в Україні почали робити свій аналог формату Late Night Show – проєкт The Gaze

😎 Й веде – знайомий з Могиляки Андрій Сусленко, який в журналістиці з 2014 року

↗️ Як вам українське шоу❓ Діліться враженнями

https://www.youtube.com/watch?v=dJqpoxE8dUs&t=43s

ricardoharvin, to writing
@ricardoharvin@mstdn.social avatar

3 episodes in and is doing a great job at keeping every possibility wide open thanks to excellent , , and .

So far, there hasn't been a single false moment that isn't intentional and meant to keep the facts and the truth just beyond our comprehension.

It's the best / I've seen in a long time, especially for a .

I'm not researching the because I want to know, but not until the decides.

Jumuta, to kde in Any way to turn off the KDE task switcher animation?

I (sort of) found the solution:

I found that I could read the reddit post by going to Google's cached version of the site:

And the Redditor u/Zren had a fix: ` https://github.com/KDE/kwin/blob/master/kcmkwin/kwintabbox/kwintabboxsettings.kcfg#L37

Not sure where the GUI option is. Edit: Ah it's "Show selected window".

kwriteconfig5 --file="$HOME/.config/kwinrc" --group="TabBox" --key="HighlightWindows" "false"

qdbus org.kde.KWin /KWin reconfigure

Added the command my DelayTime=0 tip: https://zren.github.io/kde/#show-alt-tab-popup-as-fast-as-possible `

But this still doesn't turn off the "popup" animation, just the background fade in animation.

  • All
  • Subscribed
  • Moderated
  • Favorites
  • megavids
  • thenastyranch
  • rosin
  • GTA5RPClips
  • osvaldo12
  • love
  • Youngstown
  • slotface
  • khanakhh
  • everett
  • kavyap
  • mdbf
  • DreamBathrooms
  • ngwrru68w68
  • provamag3
  • magazineikmin
  • InstantRegret
  • normalnudes
  • tacticalgear
  • cubers
  • ethstaker
  • modclub
  • cisconetworking
  • Durango
  • anitta
  • Leos
  • tester
  • JUstTest
  • All magazines